Show simple item record

Files in this item

Thumbnail

Item metadata

dc.contributor.authorYu, Teng
dc.contributor.authorZhong, Runxin
dc.contributor.authorJanjic, Vladimir
dc.contributor.authorPetoumenos, Pavlos
dc.contributor.authorZhai, Jidong
dc.contributor.authorLeather, Hugh
dc.contributor.authorThomson, John Donald
dc.date.accessioned2020-12-23T15:30:14Z
dc.date.available2020-12-23T15:30:14Z
dc.date.issued2021-05-01
dc.identifier271737406
dc.identifiered4c655d-f979-4f3b-9068-16cb8b5c6c07
dc.identifier85098771663
dc.identifier000616327800001
dc.identifier.citationYu , T , Zhong , R , Janjic , V , Petoumenos , P , Zhai , J , Leather , H & Thomson , J D 2021 , ' Collaborative heterogeneity-aware OS scheduler for asymmetric multicore processors ' , IEEE Transactions on Parallel and Distributed Systems , vol. 32 , no. 5 , pp. 1224-1237 . https://doi.org/10.1109/TPDS.2020.3045279en
dc.identifier.issn1045-9219
dc.identifier.urihttps://hdl.handle.net/10023/21193
dc.descriptionFunding: This work is supported in part by the China Postdoctoral Science Foundation (Grant No. 2020TQ0169), the ShuiMu Tsinghua Scholar fellowship (2019SM131), National Key R&D Program of China (2020AAA0105200), National Natural Science Foundation of China (U20A20226), Beijing Natural Science Foundation (4202031), Beijing Academy of Artificial Intelligence BAAI), the UK EPSRC grants Discovery: Pattern Discovery and Program Shaping for Manycore Systems (EP/P020631/1). This work is also supported by the Royal Academy of Engineering under the Research Fellowship scheme.en
dc.description.abstractAsymmetric multicore processors (AMP) offer multiple types of cores under the same programming interface. Extracting the full potential of AMPs requires intelligent scheduling decisions, matching each thread with the right kind of core, the core that will maximize performance or minimize wasted energy for this thread. Existing OS schedulers are not up to this task. While they may handle certain aspects of asymmetry in the system, none can handle all runtime factors affecting AMPs for the general case of multi-threaded multi-programmed workloads. We address this problem by introducing COLAB, a general purpose asymmetry-aware scheduler targeting multi-threaded multi-programmed workloads. It estimates the performance and power of each thread on each type of core and identifies communication patterns and bottleneck threads. With this information, the scheduler makes coordinated core assignment and thread selection decisions that still provide each application its fair share of the processor’s time. We evaluate our approach using both the GEM5 simulator on four distinct big.LITTLE configurations and a development board with ARM Cortex-A73/A53 processors and mixed workloads composed of PARSEC and SPLASH2 benchmarks. Compared to the state-of-the art Linux CFS and AMP-aware schedulers, we demonstrate performance gains of up to 25% and 5% to 15% on average,together with an average 5% energy saving depending on the hardware setup.
dc.format.extent14
dc.format.extent3117214
dc.language.isoeng
dc.relation.ispartofIEEE Transactions on Parallel and Distributed Systemsen
dc.subjectAssymetric multicore processorsen
dc.subjectOperating systemen
dc.subjectSchedulingen
dc.subjectPerformance modelen
dc.subjectEnergy efficiencyen
dc.subjectQA75 Electronic computers. Computer scienceen
dc.subjectQA76 Computer softwareen
dc.subjectNDASen
dc.subjectSDG 7 - Affordable and Clean Energyen
dc.subject.lccQA75en
dc.subject.lccQA76en
dc.titleCollaborative heterogeneity-aware OS scheduler for asymmetric multicore processorsen
dc.typeJournal articleen
dc.contributor.sponsorEPSRCen
dc.contributor.institutionUniversity of St Andrews. School of Computer Scienceen
dc.identifier.doi10.1109/TPDS.2020.3045279
dc.description.statusPeer revieweden
dc.identifier.grantnumberEP/P020631/1en


This item appears in the following Collection(s)

Show simple item record